Re: SWITCH question

new topic     » goto parent     » topic index » view thread      » older message » newer message

It seems not to have been fixed, at least in 4.1.0 development version. Strangely, the error seems to depend upon the position of the arguments:

include std/dll.e  
-- this fails 
switch 1 do  
case C_HANDLE then  
case C_POINTER, C_HANDLE then  
end switch  

A machine-level exception occurred during execution of this statement (signal 11)

include std/dll.e 
-- this works: 
switch 1 do  
case C_HANDLE then  
case C_HANDLE, C_POINTER then  
end switch  
 

duplicate values in a switch: C_HANDLE and C_HANDLE

include std/dll.e 
-- this also works: 
switch 1 do  
case C_POINTER, C_HANDLE then  
case C_HANDLE then  
 
end switch  

duplicate values in a switch: C_HANDLE and C_HANDLE

include std/dll.e 
constant HANDLE = C_HANDLE, POINTER = C_POINTER -- this helps! 
switch 1 do  
case HANDLE then  
case POINTER, HANDLE then  
end switch  

<0063>:: duplicate case value used. case POINTER, HANDLE then

All this is very curious. I wonder if the sig 11 might be in the error reporting routine (perhaps passing a zero index?)

new topic     » goto parent     » topic index » view thread      » older message » newer message

Search



Quick Links

User menu

Not signed in.

Misc Menu